Part Number Hot Search : 
63613 FDMS86 ON2800 AT91SAM7 4ALVCH 2SD2176 BT201 W270M
Product Description
Full Text Search
 

To Download CT2553 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 CT2553 / 2554 / 2555 / 2556
Advanced Integrated MUX (AIM) Hybrid
FOR MIL-STD-1553
Features
I I
A E RO
I I I I I I I I I I
Second Source Compatible to the BUS-61553 Complete Integrated MUX Including: * Low Power Dual Transceiver * BC/RTU/MT Protocol * 8K x 16 Shared Ram * Interrupt Logic Compatible with MIL-STD- 1750 and other Standard CPUs DIP or Flatpack Hybrid Minimizes CPU Overhead Provides Memory Mapped 1553 Interface On-Line & Off-Line Self-Test PCs Development Tools Available SEAFAC Tested MIL-PRF-38534 compliant circuits available DESC SMD #5962-88692 Pending Packaging - Hermetic Metal * 78 Pin, 2.1" x 1.87" x .25" Plug-In type package * 82 Lead, 2.2" x 1.61" x .18" Flat package
CIRCUIT TECHNOLOGY
www.aeroflex.com
F
LE
X LA
C
ISO 9001
E
RTIFIED
B
S
I NC .
General Description
Aeroflex's CT2553 Advanced Integrated Mux (AIM) Hybrid is a complete MIL-STD-1553 Bus Controller (BC), Remote Terminal Unit (RTU), and Bus Monitor (MT) device. Packaged in a single 78 pin DIP package, the CT2553 contains dual low-power transceivers, complete BC/RTU/MT protocol logic, a MIL-STD-1553-to-host interface unit and an 8K x 16 RAM. Using an industry standard dual transceiver and standard status and control signals, the CT2553 simplifies system integration at both the MIL-STD-1553 and host processor interface levels. All 1553 operations are controlled through the CPU access to the shared 8K x 16 RAM. To ensure maximum design flexibility, memory control lines are provided for attaching external RAM to the CT2553 Address and Data Buses and for disabling internal memory; the total combined memory space can be expanded to 64K x16. All 1553 transfers are entirely memory-mapped; thus the CPU interface requires minimal hardware and/or software support. The CT2553 operates over the full military -55C to +125C temperature range. Available screened to MIL-STD883, the CT2553 is ideal for demanding military and industrial microprocessor to 1553 interface applications. See "Ordering Information" (last sheet) for CT2554, CT2555 & CT2556. eroflex Circuit Technology - Data Bus Modules For The Future (c) SCDCT2553 REV B 8/6/99
Q1553-2 TRANSCEIVER A TX INH CLOCK IN TX MSTRCLR
8
1
Aeroflex Circuit Technology
DATA BUS A
2
4
3
TRANSFORMER A RX DECODER
CHANNEL A ENCODER/ MEMORY TIMING
SELECT STRBD READYD RD/WR MEM/REG
RX
CPU TIMING
768s TIMEOUT
PROTOCOL CONTROLLER
CONTENTION RESOLVER INTERRUPT GENERATOR
EXTEN EXTLD INT
2 TX INH TX
A15 - A00
Q1553-2
8
1
D15 - D00
DATA BUS B
2
4
3
CHANNEL B ENCODER/
RX DECODER
TRANSFORMER B
8K X 16 SHARED RAM
RTADR0 RTADR1 RTADR2
RX TRANSCEIVER B
RAM
PARITY CHECKER
RT ADDR
RTADR3 RTADRP RTADR4 RTPARERR
Note: The Watch-Dog Time Out (768s TYP.) is built in.
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
Figure 1 - CT2553 Block Diagram
Values at nominal Power Supply Voltages unless otherwise specified PARAMETER Receiver Differential Input Voltage Differential Input Impedance CMRR Transmitter (Direct Coupled) Differential Output Voltage Output Rise and Fall Times Output Offset Voltage Logic* VIH VIL Clock Power Supplies +5V (Logic) -15VA (Channel A Transceiver) -15VB (Channel B Transceiver) +5VA (Channel A) +5VB (Channel B) Current Drain* (Total Package) +5V (Idle) -15V (Idle) +5V (25% Duty Cycle) -15V (25% Duty Cycle) Temperature Range Operating (Case) Storage Physical Characteristics Size 78 pin DDIP 82 pin flatpack
* See Table 7 for pin loading characteristics.
VALUE 40 max 7 min 40 min 6.0 min, 9.0 max 100 min, 300 max 90 max 2.2 min 0.8 max 16 +55% -1510% -1510% +55% +55% (TYP)/max (85)/170 (45)/80 (85)/170 (80)/130
-55 to +125 -65 to +150
UNITS Vp-p K db Vp-p nsec mV V V MHZ V V V V V mA mA mA mA C C
2.1 x 1.87 x 0.25 (53 x 47.5 x 6.4) 2.19 x 1.6 x 0.175 (55.6 x 40.6 x 4.34)
in (mm) in (mm)
Table 1 - CT2553 Specifications
GENERAL The CT2553 is a complete MIL-STD-1553 bus interface unit containing dual low-power transceivers; Bus Controller (BC), Remote Terminal (RTU), and Bus Monitor (MT) protocol logic; 8K x 16-bit pseudo dual port RAM; and memory management arbitration control circuitry. The host processor interface consists of standard control and interrupt signals, memory expansion capability and non-multiplexed address and data buses. Control of the CT2553 is accomplished entirely through the use of three internal registers and the
3
shared RAM. Transfers to and from the CT2553 are executed on a word-by-word basis ensuring minimal wait time if contention occurs. The specific mods of operation (1553 BC/RTU/MT) is software programmable. Memory is configured into unique control and data block areas based on the 1553 mode of operation. External registers are also supported by the CT2553 for manipulation of user data. In addition, the CT2553 provides dynamic, online and software initiated self-test capabilities.
Aeroflex Circuit Technology
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
INTERFACING The CT2553 is compatible with most common microprocessors including, but not limited to, the Motorola 680 x 0, the Intel 808x, Zilog Z800x and MIL-STD-1750 processors. Interfacing the CT2553 to the MIL-STD-1553 Data Bus requires two Q1553-2 pulse transformers and an external 16 MHz clock (See Figure 2). Tri-state buffers are used to isolate the CPU's data and address lines. External RAM can be used instead of or in conjunction with the CT2553's internal 8K x 16 bits. The external RAM used by the CT2553 can be any standard static memory with an access time of < 55ns. The external RAM can be expanded to 64K x 16. Two control signals, MEMENA-IN (pin 69) and MEMENMA-OUT (pin 31) are provided in addition to the standard memory I/O signals for internal/external memory access control (See Figures 3-5. MEMEN-OUT and MEMEN-IN should be tied together for Internal Memory Only configuration. Memory CS signals can be generated for configurations using external memory. MEMORY MANAGEMENT Memory can be configured to support two AREAs (A and B), each with an independent sequential stack and pointers for manipulating 1553 message and control data. The CPU can access the shared RAM while 1553 message transfers are taking place. Arbitration of the RAM is automatically implemented in a manner transparent to the subsystem (See Figures 28-31). Variable Length DATA BLOCKS are also stored in the shared RAM and can be addressed by setting pointers residing in Area A, Area B or both. For BC/RTU operation, each area contains a Descriptor Stack and Stack Pointer (See Figures 6 and 7). BC operation further maintains a Message Count for each area (number of 1553 messages per frame). RTU operation maintains a data block address Look-Up Table for each area. MT operation utilizes a single Stack Pointer to indicate the starting address for storage of received words and associated identification Words. CURRENT AREA ASSIGNMENT/SWAPPING. Current area status (currently available to the 1553 terminal) is Software programmable by the host; the unassigned area automatically assumes non-current area status. Both areas are always addressable by the host. Swapping of the Current Area can be done following message transfers for user operations such as exception handling or multiple buffering of 1553 data. The host selects the Current Area by writing to the CT2553's Configuration Register with bit 13
Aeroflex Circuit Technology
set to the appropriate logic level (0 for area A or 1 for area B). Internal circuitry ensures that the swapping of Current Area Status does not occur during an ongoing message transfer (See Configuration Register). DESCRIPTOR STACK (BC/RTU). The DESCRIPTOR STACK (DS) is divided into 64 entries. Each stack entry contains four words which refer to one 1553 message. The Block Status Word (BSW) indicates the physical bus on which the message was received (RTU mode), reports whether or not an error was detected during message transfer and indicates message completion (See Figure 8). The user-supplied Time Tag word is loaded at the start of a message transfer and is updated at the end of the transfer (See Time Tagging).
4
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
RD/WR (DIR) DATA D0 - D15 (OE) (54LS245) IOEN ROM RAM I/O (SEE NOTE 1) MEMENA-IN (OE) (54LS244) CPU ADDRESS A0 - A12 13 MEMENA-OUT 16
RD/WR
36
51
RTADP RTADDRESS
8
73 31
40 78
TX/RX-A TX/RX-A
1 2 3
7 6 5 4
+
-
69 BUS-25679 CT2553
8
ADDRESS DECODER
POR (SEE NOTE 2)
MSTRCLR
20 71 59
TX/RX-B TX/RX-B
1 2 3
7 6 5 4
+
-
SELECT MEM/REG (54LS04) STRBD
74 33 3 34 2 +5V -15V
BUS-25679
READYD INT
75 12 72 13 15
ILLCMD
+5V
SA/MC-0 SA/MC-1 SA/MC-2 SA/MC-3 SA/MC-4 T/R BCSTRCV LMC ILLEGALIZATION PROM (OPTIONAL)
HOST PROCESSOR MEMOE 30 52 54 16MHz CLOCK 32 53 57 XX 17
1553 INTERFACE
Figure 2 - CT2553 Example Interconnection
Aeroflex Circuit Technology
5
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
ADDRESS BUS
CPU 16
ADDRESS BUS CT2553
CPU CT2553 CS MEMENA OUT E ADDRESS DECODER
31
MEMENA OUT 31
64K x 16 STATIC RAM
69
MEMENA IN
69
MEMENA IN 10K
+5V
Figure 3 - Internal Memory Only
Figure 4 - External Memory Only
69
MEMENA IN ADDRESS BUS
CPU
CT2553 A13 A14 A15 A B C
0 1 2 3 4 31 MEMENA OUT E 5 6 7 ADDRESS DECODER
13
8K x 16
8K x 16
8K x 16
8K x 16
8K x 16
8K x 16
8K x 16
56 x 16K STATIC RAM MAX
Figure 5 - Configuration Using Both Internal and External Memory
Aeroflex Circuit Technology
6
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
CONFIGURATION REGISTER 15 13 0
STACK POINTERS
DESCRIPTOR STACKS
**
DATA ** BLOCKS
*
CURRENT AREA B/A
BLOCK STATUS WORD TIME TAG WORD RESERVED MESSAGE BLOCK ADDR MESSAGE COUNTER DATA BLOCK
*
DATA BLOCK
*
Note: STACK POINTERS and MESSAGE COUNTERS are switched via the CONFIGURATION REGISTER under external CPU control.
** Note: DESCRIPTOR STACKS and DATA BLOCKS have 256 word boundries which should be observed.
Figure 6 - Use of Descriptor Stack - BC Mode
CONFIGURATION REGISTER 15 13 0
STACK POINTERS
DESCRIPTOR STACKS
** *
LOOK-UP TABLE (DATA BLOCK ADDR)
DATA ** BLOCKS
*
CURRENT AREA B/A
BLOCK STATUS WORD TIME TAG WORD RESERVED RECEIVED COMMAND WORD LOOK-UP TABLE ADDR
DATA BLOCK
DATA BLOCK
*
Note: STACK POINTERS and LOOK-UP TABLE are switched via the CONFIGURATION REGISTER under external CPU control.
** Note: DESCRIPTOR STACKS and DATA BLOCKS have 256 word boundries which should be observed.
Figure 7 - Use of Descriptor Stack - RTU Mode
Aeroflex Circuit Technology
7
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
15
87
0
11111111
EOM SOM CHB/CHA (RTU ONLY) ERROR FLAG LOOP TEST FAIL RESPONSE TIME OUT (BC ONLY) FORMAT ERROR STATUS SET (BC ONLY)
STACK POINTER. A STACK POINTER (SP) is maintained at a specified location in shared RAM for each Descriptor Stack (SP-A: 0100H; SP-B: 0104H). Each Stack Pointer must be initialized by the CPU to point to the Descriptor Stack Entry to be used for the first MIL-STD-1553 transmission. The current area SP is automatically incremented by four following each message transfer thereby always pointing to the next Block Status Word.
Note: The Stack Pointer is maintained internally using an 8-BIT REGISTER for the HIGH BYTE and an 8-BIT COUNTER for the LOW BYTE. The high byte remains constant (user value) while the low byte will wrap around from FF(H) to 00(H). For example: a current Stack Pointer value of 00 FF(H) will increment to 00 00(H) and not 01 00 (H).
Note: In BC operation, the CT2553 always writes the BSW to RAM with Bit-13. CHB/CHA toggles as per the message control word setting.
BIT NAME
EOM
DEFINITION
Set at the completion of a message transfer regardless of whether any errors were detected. Set at the beginning of a message transfer and Reset upon completion of the transfer. Set in RTU mode to indicate whether the message was received on 1553 bus A or bus B. Toggles to indicate channel, in BC mode. Indicates that an error was detected within the message transfer. The specific error condition(s) are identified in bits 8-11.
SOM CHB/CHA
ERROR FLAG
LOOK-UP TABLE (RTU). A data block address Look-Up Table is used to indicate the data blocks to be used for individual commands. Look-Up is based upon the T/R (transmit/receive) and Subaddress bits of the received 1553 Command Word. See RTU Operation for detailed operation; two tables are provided for double buffering in the RTU mode. MULTIPLE BUFFERING (BC/RTU). Unused areas of shared RAM can be used to store additional stacks, tables, data blocks and/or user (non 1553-related) data. In this way, multiple data blocks (RTU) or messages (BC) can be stored for later use: simply update respective pointers and initiate the appropriate start conditions. (BC mode requires SP, message block address and message count updating while in RTU mode, the SP and Look-Up Table entry must be updated).
STATUS SET Set in BC mode to indicate that a status flag bit was set within the received RTU Status Word or that the RTU address did not match the associated Command. Set in BC mode when the message error bit is set within the received RTU Status Word. FORMAT ERROR Also set in RTU mode (RT-RT transfer; CT2553 is acting as the receiving RT) when the transmitting RTU Status Word contains an incorrect address. Also, set in BC or RTU mode if the message violates MIL-STD-1553 (parity, Manchester, sync bit count, non-contiguous data or word count errors). Set in BC mode if the addressed RTU did not respond within 14s. Also set when acting as a receiving RT (RT-RT transfer) if the transmitting RT does not respond in the specified 1553 response time.
RESPONSE TIMEOUT
Set when the CT2553 does not pass the LOOP TEST FAIL Loop Test. See Self Test paragraph.
Figure 8 - Descriptor Stack - Block Status Word
CT2553 REGISTERS The CT2553 is controlled through the use of three internal registers: Interrupt Mask Register, Configuration Register and a Start/Reset Register. In addition, the CT2553 can access up to four external, user supplied registers (See Table 2). Possible external register applications include: CPU Time Tag storage and RTU Address assignment (See Figures 9 and 10).
8
The contents of the fourth word of the stack entry depends upon the 1553 operating mode selected. In BC mode, It contains the address of the associated 1553 message (Data Block). In RTU mode, it contains the complete (received) 1553 Command Word.
Aeroflex Circuit Technology
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
ADDRESS
A00 A01
A B
EXTEN
E
A00 A01
A B
EXTLD
E
Note: A02 of the CT2553 must be set to logic 1 to operate with external registers.
Figure 9 - Use of External Registers
EXTLD
1 6 REGISTER CPU DATA BUS D15 - D00 OE IOENBL 16 5
Figure 10 - Example Configuration Using External Registers
Aeroflex Circuit Technology
9
{ {
DECODER
READ
WRITE
RTADP
RT ADDR
CT2553
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
CPU TO REGISTER OPERATIONS. The CPU selects a register by asserting MEM/REG low and A2 to a logic 0 (for internal registers) or logic 1 (for external registers) with A0 and A1 indicating the appropriate register address (See Figures 28-32). The signals EXTEN and EXTLD are used to access the external registers.
15
RTU/BC MT CURRENT AREA B/A STOP ON ERROR
87 0 11111111
SUBSYSTEM FLAG SERVICE REQUEST BUSY DB ACCEPT
BIT NAME
SUBYSTEM FLAG SERVICE REQUEST BUSY DB ACCEPT STOP ON ERROR
DEFINITION
CONFIGURATION REGISTER. The Configuration Register is a 16-bit read/write register used to define the 1553 operating mode (BC, RTU, or MT); define selectable 1553 Status Word bits (RTU only); select stop-on-error option; and support the double buffering scheme (See Figure 11).
Sets/resets 1553 Status Word flag. Sets/resets 1553 Status Word flag. Sets/resets 1553 Status Word flag. Sets/resets 1553 Status Word flag. BC will halt message transfer after completing current EOM cycle. CURRENT AREA B/A Selects Current Area Pointers. RTU or BC-MT Operation Select. RTU/BC
BIT15 0 0 1 1 BIT 14 0 1 0 1 Operation BC MT RTU Illegal
Note: A logic 0 causes the corresponding bit within the RTU's status word to be set to a logic 1.
Figure 11 - Configuration Register
INTERRUPT MASK REGISTER (BC/RTU). This register is a 16-bit read/write register used to enable/mask interrupt conditions. If an interrupt condition occurs and the corresponding Interrupt Register bit has been enabled (set to logic 1) pin 72, INT will be pulsed low during the respective End of Message (EOM) cycle (See Figure 12). Not Used bit locations can optionally be used for storing user flags.
15 987 1111111 NOT USED BC EOM FORMAT ERROR/STATUS SET NOT USED EOM 43210
START/RESET REGISTER. This write-only register is used to reset the CT2553 and to start the BC and MT operations, as illustrated in Figure 13.
15
987
43210
NOT USED CONTROLLER START RESET
INTERRUPT
EOM FORMAT ERROR/ STATUS SET
DEFINITION
End of message. Set by CT2553 in BC or RTU mode following each 1553 transfer (regardless of validity). Set if one of the following occurs:. Loop Test Failure: Received word does not match last word transmitted. Message Error: Received message contained a violation of any of the 1553 message validation criteria (parity, sync, manchester encoding, bit/word count, etc.) Time-Out: Expected transmission was not received during the allotted time. Status Set: Received Status Word contained status bit(s) set or address error. Bus Controller End of Message. Set by the CT2553 following transmission of all messages within the current Message Block (Current area message count = FFFF).
START RESET
BIT 1 1 0
BIT 0 0 1
BIT NAME
CONTROLLER START RESET
DEFINITION Issued by the CPU to start message block transmission (BC Operation) or to begin reception of 1553 messages (MT Operation). Issued by the CPU to place the CT2553 in the power-on condition; (1) aborts 1553 transfers currently in progress, and (2) resets Configuration and Interrupt Mask Register bits (logic 0).
BC EOM
Figure 12 - Interrupt Mask Register
Aeroflex Circuit Technology
Figure 13 - Start/Reset Register
10
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
Table 2 - CT2553 Register Address Definition Address Bits A2 A1 A0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 R/W R/W - W R/W R/W R/W R/W Definition Interrupt Mask Register Configuration Register Not Used Start/Reset Register * External Register * External Register * External Register * External Register
configured as a BC. Set the Wrap-Around Test bit within the BC Control Word to a logic 1 and initiate any standard message transfer. This inhibits the 1553 transceivers and initiates the standard wrap-around test (i.e., internal 1553 encoder output is fed back into the decoder - the word is then written into memory). See BC Operation and Figure 14, BC Control Word for more details.
15
NOT USED BUS CHANNEL A/B OFF-LINE SELF TEST MASK BROADCAST NOT USED MODE CODE BROADCAST RT-RT
8
7
6
5
4
3
2
1
0
* Note: R/W (read/write) capability is dependent on the user's decoding implementation (See Figure 9).
CONTENTION HANDLING The CT2553 arbitrates shared RAM (and control register) accesses between the host CPU and the internal 1553 protocol logic. If the host attempts to access the RAM while an internal 1553 memory cycle is in progress, the CT1553 will delay the CPU's memory cycle by inserting wait states via the READYD control signal until the cycle has been completed. The maximum delay is 1.8s. If the internal 1553 protocol logic attempts to access the RAM while the host CPU has control of the memory, the internal 1553 logic will wait until the host CPU cycle has been completed. To ensure the integrity of 1553 data transfers, the host CPU must complete its memory cycle within 1.5s (See Figures 28-32). SELF TEST The CT2553 has two self-test modes: the automatic, continuous On-Line test and the software-initiated Off-Line test. In both tests the Loop Test Fail bit within the Block Status Word will be set to a logic 1 if a failure is detected. ON-LINE TEST. The On-Line test occurs in BC and RTU modes during transmission of each message onto the 1553 bus. This test wraps around the last word transmitted, exercising the 1553 protocol logic through the 1553 transceivers. While operating as a BC, the last word transmitted is received, decoded, and written back into memory location immediately following the last word within the message block. The host CPU can read and compare this Loop Back Word with the last word of the message Data Block; these two words should be identical. This insures data integrity between the CPU and the CT2553. While in the RTU mode, the internal 1553 Status Word will be updated to reflect the result of the self test. The Status Word's Terminal Flag bit will be set to a logic 1 if a fault was indicated by the wrap-around, self-test. OFF-LINE TEST. The software-initiated Off-Line test can be executed only when the CT2553 is
Aeroflex Circuit Technology
BIT NAME
BUS CHANNEL A/B
DEFINITION
Determines whether message will be transmitted on 1553 Bus A or Bus B. Logic 1 = A, logic 0 = B. Logic 1 performs internal off-line INITIATE OFF-LINE SELF transmit/receive test. The last word of the message is looped back TEST through the decoder and placed in RAM. See Self Test paragraph. MASK When logic 1, prevents Broadcast BROADCAST (1) RCVD bit of the 1553 Status Word response from signalling a status error as a result of a Broadcast command. (A FORMAT error will be generated if the BROADCAST bit is not set on the RTU's Status Word.) MODE CODE When logic 1, the message is treated as a Mode Code. (The Command Word - Word Count field indicates Mode Code type.) BROADCAST When logic 1, indicates that the message is a Broadcast Command. (No Status Word is expected.) RT-RT When logic 1, the message is treated as an RT-RT transfer. (The next two words are Command Words.) Both Status Word responses are validated.
Note: 1. MASK BROADCAST XOR BROADCAST BIT in Status Word = STATUS SET ERROR. 2. When the BC expects the BROADCAST bit set in the Status Word, a logic 1 will mask the Status Interrupt Error flag.
Figure 14 - BC CONTROL WORD
RESET The CT2553 can be reset by pulsing the MSTRCLR (pin 71) low or by writing to the Start/Reset register. After a reset condition has occurred, the Configuration, Interrupt, and (internal) Block Status word register outputs are forced to a logic 0.
11
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
TIME TAGGING (OPTIONAL) The CT2553 will automatically access an external, 3-state device (i.e., counter) at the start and end of each message in BC or RTU modes. The CT2553 output, TAGEN (pin 76), enables the device's output onto the common, 16-bit data highway while executing a memory-write cycle. The device's value is written into the second location of the Descriptor Stack Entry. If a counter is used its clock, enable, and reset control lines are connected per system requirement (See Figure 15). If no external device is attached to the data bus, an expected value of FFFF (H) will be written into the Time Tag location within the Descriptor Stack. Note that the 8-bit Time Tag value generated in the 1553 MT mode of operation is implemented using an 8-bit counter internal to the CT2553 (See MT operation).
THREE-STATE COUNTER OE CPU DATA BUS D15 - D00 OE
TAGEN
DATA BUS D15 - D00 IOEN
CT2553
Figure 15 - BC/RT Tagging (Optional)
CONTROL WORD
CONTROL WORD
CONTROL WORD
CONTROL WORD
CONTROL WORD
CONTROL WORD
CONTROL WORD
CONTROL WORD
BROADCAST COMMAND (NO DATA)
RECEIVE COMMAND
TRANSMIT COMMAND
RECEIVE COMMAND
MODE COMMAND
MODE COMMAND
MODE COMMAND
BROADCAST COMMAND
BROADCAST COMMAND LOOPED BACK
DATA WORD 1
TRANSMIT COMMAND LOOPED BACK
TRANSMIT COMMAND
DATA WORD
MODE COMMAND LOOPED BACK
MODE COMMAND LOOPED BACK
DATA WORD 1
BROADCAST COMMAND (NO DATA)
DATA WORD 2
STATUS RECEIVED
TRANSMIT COMMAND LOOPED BACK
DATA WORD LOOPED BACK
STATUS WORD
STATUS WORD
DATA WORD 2
DATA WORD LAST
DATA WORD 1
STATUS WORD 1 FROM XMTR
STATUS WORD
DATA WORD RECEIVED
MODE CODE WITHOUT DATA
DATA WORD LAST
DATA WORD LAST LOOPED BACK
DATA WORD 2
DATA WORD 1 RECEIVED
MODE CODE WITH DATA RECEIVE DATA BLOCK FORMAT
MODE CODE WITH DATA TRANSMIT DATA BLOCK FORMAT
DATA WORD LOOPED BACK
STATUS RECEIVE
DATA WORD LAST
DATA WORD 2 RECEIVED
BROADCAST COMMAND WITH DATA
RECEIVE DATA BLOCK
TRANSMIT DATA BLOCK
LAST DATA WORD RECEIVED
STATUS WORD 2 FROM RECEIVER REMOTE TERMINAL TO REMOTE TERMINAL DATA BLOCK
Figure 16 - BC Message Formats
Aeroflex Circuit Technology
12
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
BC OPERATION Initialization of the CT2553 via a Reset or by setting the appropriate Configuration Register bits will result in placing the CT2553 in the BC operating mode. BC MEMORY CONFIGURATION. The user configures the memory by: (1) writing the start address of the Descriptor Stack into the Current Area Stack Point location; (2) loading the fourth word of each Descriptor Stack Entry (DSE) with the start location of each message block; and (3) loading the Message Counter with the total number of messages to be transmitted. Note that the Message Count must be written in 1's compliment. For example, to transmit one message, load OOFE(H) (See Table 3, BC Memory Map). If both map areas A and B are utilized, this procedure must be performed for each area. Note that the Stack Pointer and Message Counter locations are fixed; Message Block locations are user-defined. Each message block must be proceeded by a BC Control Word (See Figure 14). This word informs the CT2553 as to the format of the message transfer. Bit 1 of the Control Word defines whether the following message to RT 31 is to be issued in Broadcast Mode or whether RT 31 is a unique terminal. Memory locations must be reserved at the end of each message for: (1) a Loop Back Word; (2) RTU Status Word(s); and (3) received Data words. See Figure 16, BC Message Formats. Message blocks may be loaded anywhere in the non-fixed area of the shared RAM. However, each data block may not cross a 256 word boundary (i.e., bit 8 of the starting address of the message block must match bit 8 of the address of the last word of the message block). Table 3 - Typical BC Memory Map
HEX ADDRESS Fixed Areas 0100 0101 0104 0105 0108-013F 0140-017F 0180-01BF 01C0-01FF * * 0F00-0FFF 0000-00FF Stack Pointer A Message Count A Stack Pointer B Message Count B User Defined Areas Not Used Data Block 1 Data Block 2 Data Block 3 * * Descriptor Stack A Descriptor Stack B
13
ADDITIONAL FEATURES. The Configuration Register - STOP ON ERROR bit can be set. This causes the CT2553 to halt operation at the end of the current message transfer if an error is detected. In addition, setting the Interrupt Mask Register bits will result in a low pulse on the Interrupt (INT) pin with each occurrence of the respective error, end of message or end of message frame condition (See Configuration Register and Interrupt Register sections). BC TRANSFER-START SEQUENCE After setting the CONTROLLER START bit in the Start/Reset Register, the CT2553 takes the following actions: 1. Reads the Current Area Stack Pointer for the address of the Descriptor Stack Entry (DSE). 2. Stores an SOM (Start of Message) flag in the Block Status word to indicate a transfer operation in progress. 3. Writes the Time Tag value into the Descriptor Stack (See Time Tag). 4. Reads the Data Block Address from the fourth location of the DSE. 5. Starts the MIL-STD-1553 message transfer. Upon completion of the MIL-STD-1553 message transfer, the CT2553: 1. Generates an End Of Message (EOM) or Error (if applicable) interrupt if enabled. 2. Reads the Stack Pointer for the address of the DSE. 3. Updates the Block Status Word; resets SOM, sets EOM, and sets any applicable Error bits. 4. Writes the Time Tag value into the Descriptor Stack (See Time Tag). 5. Increment Pointers: Stack Pointer incremented by 4 and Message Count incremented by 1. 6. If more messages remain to be sent, a BC End Of Message (BCEOM) interrupt occurs (if enabled). If an error occurs and Stop On Error has been enabled, the CT2553 stops initiating BC Transfer-Start sequences. The Stack Pointer will point to the next message to be transferred (See Figure 17).
FUNCTION
Aeroflex Circuit Technology
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
CONTROLLER START COMMAND RECEIVED
READS STACK POINTER
LOAD BLOCK STATUS WORD INTO FIRST WORD OF DESCRIPTOR STACK ENTRY (SET SOM BIT IN BLOCK STATUS WORD)
LOAD TIME TAG INTO SECOND WORD OF DESCRIPTOR STACK ENTRY
DATA BLOCK TRANSFERRED OK ? NO
YES
OBTAIN DATA BLOCK ADDRESS FROM FOURTH WORD
STOP ON ERROR SET ? YES
NO
READ CONTROL WORD TO DETERMINE TYPE OF TRANSFER
MORE MESSAGES TO SEND ? NO ISSUE BC EOM
YES
TRANSFER DATA TO/FROM 1553 BUS
UPDATE BLOCK STATUS WORD
STOP
UPDATE TIME TAG
INCREMENT STACK POINTER BY FOUR. DECREMENT MESSAGE COUNT
Figure 17 - BC Sequence of Operation
Aeroflex Circuit Technology
14
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
BC SETUP IMPLEMENTATION EXAMPLE Figure 18a-c shows the BC mode examples for two message transfers, BASIC setup, and BC memory setup.
START 0000
LOAD CONFIGURATION REGISTER (BC MODE)
GIVEN: 1. All values are in hex. 2. Map Area "A" is used and located from Address 0000 to Address 00FF.
01
40
0003
Descriptor Stack Entries
LOAD STACK POINTER
3. Message 1 located at Address 0140, is a TRANSMIT Command to RT# 1, Subaddress #1, Word Count = 1, transmitted on BUS A. 4. Message 2 located at Address 0180, is a RECEIVE Command to RT#3, Subaddress #1, Word Count = 3, transmitted on BUS B.
01 0000 00FD 00 0C ** * *
80
0007 0100 0101
LOAD STARTING ADDRESS OF FIRST MESSAGE INTO STACK
5. Configuration Register is assumed to be memory mapped at location 2001. START/RESET Register is memory mapped at location 2003. MOV MOV MOV MOV MOV MOV 2003, 2001, 0100, 0101, 0003, 0007, 0140, 0141, 0180, 0181, 0182, 0183, 0184, 2003, 0001 0FFF 0000 00FD 0140 0180 0080 0C21 0000 1823 1111 2222 3333 0002 ; ; ; ; ; ; ; ; ; ; ; ; ; ; Issue Reset Initialize Configuration Register Initialize Stack Pointer Initialize Message Count Load Start Address Of Message #1 Load Start Address Of Message #2 Load BC Control Word Message #1 Load Command Word Message #1 Load BC Control Word Message #2 Load Command Word Message #2 Load Data Word #1 Message #2 Load Data Word #2 Message #2 Load Data Word #3 Message #2 Issue "Start"
80 21
0140
LOAD STARTING ADDRESS OF SECOND MESSAGE INTO STACK
Message #1
LOAD FIRST MESSAGE INTO MEMORY
MOV MOV MOV MOV MOV MOV MOV MOV
00 18 11 22 33 **
00 23 11 22 33
0180
LOAD SECOND MESSAGE INTO MEMORY
Message #2
LOAD MESSAGE COUNTER WITH 1's COMPLEMENT OF MESSAGE COUNT = FD (HEX)
Figure 18b - Sample BC Set-Up Instructions
* * Left empty for RTU's status response. ** Loop Back word.
LOAD START REGISTER WITH THE VALUE 02
Figure 18c - BC SET-UP Memory Map
Figure 18a - BC Set-Up Example for Two Message Transfer
Aeroflex Circuit Technology
15
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
RTU OPERATION The RTU mode is selected by resetting the CT2553 and setting the appropriate bits in the Configuration Register.
RTU MEMORY CONFIGURATION. The user configures the memory by:
RTU LOOK-UP TABLE. The RTU mode uses a Look-Up Table in order to map the Data Blocks based upon incoming 1553 Command Words. The CT2553 uses the T/R and Subaddress fields to address the Look-Up Table. Each Look-Up Table (A and B) location contains a user-defined Data Block Pointer to an associated Data Block (See Figures 20 and 21). Note: The Data Block and Stack Pointers are maintained internally using an 8-BIT-REGISTER for the HIGH BYTE and an 8-BIT COUNTER for the LOW BYTE; the high byte remains constant (user value) while the low byte will wrap around from FF(H) to 00(H). For example: a current Pointer value of 10 FF(H) will increment to 10 00(H) and not 11 00(H). The first 32 words of the Look-Up Table are reserved for Data Blocks associated with Receive Commands (T/R bit = 0). The remaining 32 words are reserved for Data Blocks associated with Transmit Commands (T/R bit = 1). Mode Commands with data are mapped in the same manner as non-mode commands. A Synchronize With Data command maps to the first or thirty-second Table entry (depending upon subaddress: all 0's or all 1's), while a Transmit Vector Word command points to the thirty-third or sixty-fourth entry.
START
1. Writing the start address of the Descriptor Stack into the Stack Pointer location and 2. Setting up the Look-Up Table as described below. If both map areas (A and B) are utilized, this procedure must be performed for each area. Note that the Stack Pointer and Look-Up Table locations are fixed; Data Block(s) locations are user-defined. Message blocks may be loaded anywhere in the non-fixed areas of the shared RAM. However, each data block may not cross a 256 word boundary (i.e., bit 8 of the starting address of the message block must match bit 8 of the address of the last word of the message block). An example of a typical RTU Memory Map is given in Table 4. Figure 19 shows the RTU Initialization steps.
Table 4 - Typical RTU Memory Map
HEX ADDRESS FUNCTION Fixed Areas 0100 0101 0104 0105 0108-013F 0140-017F 01C0-01FF Descriptor Stack Pointer A Reserved Descriptor Stack Pointer B Reserved Spare Look-Up Table A Look-Up Table B User Defined Areas 0180-019F 01A0-01BF 0200-021F * * 0EE0-0EFF 0000-00FF 0F00-0FFF Data Block 1 Data Block 2 Data Block 3 * * Data Block 107 Descriptor Stack A Descriptor Stack B
ISSUE RESET COMMAND
INITIALIZE STACK POINTER
SET UP LOOK-UP TABLE(S) DATA BLOCK ASSIGNMENTS
SET UP DATA BLOCKS
INITIALIZE INTERRUPT MASK REGISTER
SET CONFIGURATION REGISTER TO RTU MODE
START REGISTER
WAIT FOR 1553 COMMAND
Figure 19 - RTU Initialization
Aeroflex Circuit Technology
16
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
15 00000001
CURRENT AREA B/A T/R (from command word)
76543210 1
RECEIVED COMMAND WORDS LOOK-UP TABLE (A) ADDRESS T/R SUBADD 0 00000 0140 0 00001 0141 0 00010 0142 * 64 LOCATIONS * * 1 11110 XXXXX 017E 1 11111 XXXXX 017F WORD COUNT XXXXX XXXXX XXXXX
DATA BLOCK DATA BLOCK USER DEFINED USER DEFINED USER DEFINED
SUB-ADDRESS (command word)
Figure 20 - RTU Look-Up Address
RTU MESSAGE BLOCK TRANSFER SEQUENCE RTU message transfer operations begin automatically upon receipt of a valid command word from the 1553 bus. A message transfer takes the form of an RTU Start Of Message (SOM) cycle followed by the 1553 Message Transfer Cycle and an RTU End Of Message (EOM) cycle (See Figure 22). During the RTU SOM cycle, the CT2553 the following actions: 1. Loads the 1553 command word. 2. Reads the current area Stack Pointer to get the address of the current Descriptor Stack Entry (DSE). 3. Stores an SOM flag into the Block Status Word to indicate a transfer in progress. 4. Writes the Time Tag value into the the Descriptor Stack. 5. Stores the Command Word received. 6. Reads the associated Data Block Address from the (current area) Look-Up Table. The MESSAGE TRANSFER CYCLE refers to the actual transfer of the 1553 message under control of the CT2553. The CT2553 transfers data to and from the memory on a word-by-word basis. Upon completion of the message transfer, the CT2553 executes an RTU End Of Message (EOM) cycle during which the CT2553: 1. Generates an EOM or Error interrupt (if enabled). 2. Updates the Block Status Word: clears SOM, sets EOM, and any appropriate error bits. 3. Writes the Time Tag value into the Descriptor Stack. 4. Increments the Stack Pointer by 4.
Aeroflex Circuit Technology
USER DEFINED USER DEFINED
Figure 21 - Look-Up Table Example
1553 COMMAND WORD RECEIVED
READ STACK POINTER
UPDATE DESCRIPTOR STACK BLOCK STATUS WORD, TIME TAG AND COMMAND WORD
READ LOOK-UP TABLE USING T/R SUBADDRESS CURRENT AREA BIT B/A
TRANSFER DATA TO/FROM 1553 INTERFACE DEVICE
MESSAGE COMPLETE ? YES UPDATE BLOCK STATUS WORD AND TIME TAG
NO
INCREMENT STACK POINTER BY FOUR
GENERATE EOM INTERRUPT AND ERROR INTERRUPT IF ERROR CONDITION DETECTED
WAIT FOR NEXT 1553 COMMAND
Figure 22 - RTU Message Transfer Operation
17
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
ADDITIONAL FEATURES. Four 1553 Status Word flags can be programmed via the appropriate Configuration Register bits. In addition, setting Interrupt Mask Register bits will result in a low pulse on the Interrupt (INT) pin with each occurrence of the respective error or end of message condition. (See Configuration Register and Interrupt Register sections.) THIS RT: Each command appearing on either 1553 Bus is decoded and tested for Manchester/protocol errors. If the CT2553 receives a valid command word containing a RTU address equivalent to the RTAD0-RTAD4 inputs (pins 10, 9, 50, 49, and 11, respectively), THIS-RT (pin 55) will be pulsed low. This signal can be used to identify specific 1553 commands. This signal is also active in the BC mode. Command Illegalization (Optional). The CT2553 has the capability to illegalize MIL-STD-1553 mode commands. In addition, valid non-mode commands can be illegalized based upon the Command Word subaddress field. An illegal command is identified by driving the Illegal Command, ILLCMD (pin 12) input low. The CT2553 multiplexes the Word Count and Subaddress fields (pins SA/MC0 - SA/MC4). The CT2553 responds to illegalized commands by transmitting its Status Word with the Message
Error bit set. No data words are transmitted; received words, however, are placed in the shared RAM locations indicated by the current area Look-Up Table. Upon receipt of a valid mode command, the CT2553 will output the Command Word-Word Count field and set the Latched Mode Command (LMC) output to a logic 1. Upon receipt of a valid non-mode command, the CT2553 will output the Command Word-Subaddress field and set the Latched Mode Command (LMC) output to a logic 0. An external PROM can be used for command illegalization by decoding the word count/subaddress, LMC and Broadcast Received (BCSTRCV) bits and driving ILLCMD low where appropriate (See Figure 23). BUSY BIT. If the user asserts the BUSY bit low in the Configuration Register, the CT2553 will respond with a Status Word with the BUSY bit set. In addition, no data words will be transferred from the shared RAM as indicated by the corresponding value in the current area Look-Up Table. The CT2553 will transfer data associated with a Receive Command into memory but will not transmit data out onto the MIL-STD-1553 bus when busy upon receipt of a Transmit Command.
LMC SA/MC0-4, T/R
t1 VALID UNTIL NEXT VALID COMMAND WORD RECEIVED
ILLCMD
LATCHED UNTIL NEXT VALID COMMAND WORD RECEIVED
Mode Command Illegalization Timing SYMBOL
t1
DESCRIPTION LMC to ILLCMD latch
MIN
250
MAX
-
UNITS
ns
Figure 23 - Mode Command/Sub-Address Illegalization Timing
Aeroflex Circuit Technology
18
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
MT OPERATION Initiate a Reset in order to initialize the CT2553. Configure the CT2553 as a Bus Monitor (MT) by setting the appropriate Configuration Register Bits. See Figure 24 for MT initialization Steps. MT MEMORY CONFIGURATION. The user configures the memory by writing the start address for 1553 data storage into the Stack Pointer location. The Monitor Stack will automatically wrap around once the RAM has been filled (i.e., location FFF(H) is followed by location 0000). An example of a typical MT Memory Map 4 given in Table 5.
START
ISSUE RESET COMMAND
CLEAR RAM
INITIALIZE STACK POINTER
Table 5 - Typical MT Memory Map
HEX ADDRESS FUNCTION First Received 1553 Word First Identification Word Second Received 1553 Word Second Identification Word
SET CONFIGURATION REGISTER TO MT MODE
0000 0001 0002 0003 0004 0005 0006 * * 0100 * * FFFF
ISSUE START COMMAND
Figure 24 - MT Initialization
MSB 15
GAP TIME WORD FLAG THIS RT BROADCAST ERROR COMMAND SYNC 1553 CHANNEL A/B CONTIGUOUS DATA MODE CODE
* * * * *
Stack Pointer (Fixed location)
LSB 876543210 1
* * *
MT START SEQUENCE. After setting the CONTROLLER START bit in the Start/Reset Register, the CT2553 takes the following actions: 1. Reads the start address for 1553 data storage from the Stack Pointer location. The Stack Pointer location(s) will be overwritten with 1553 data once the MT mode has begun and 1553 data is written into locations 0100(H) and 0101(H)]. 2. Stores the received 1553 word into memory. 3. Increments the Stack Pointer by 1. 4. Generates an Identification Word and stores this value into memory. 5.Repeats steps 2-4 until a Reset condition occurs. MT IDENTIFICATION WORD. The Identification word provides the CPU with information pertaining to the received 1553 word. Its format is shown in Figure 25, This information allows the user to analyze the 1553 data. THIS-RT: Each command appearing on either 1553 Bus is decoded and tested for Manchester/protocol errors. If the CT2553 receives a valid command word containing a Command Sync and a RTU address equivalent to the RTAD0-RTAD4 inputs (pins 10, 9, 50, 49, and 11, respectively), THIS-RT (pin 55) will be pulsed low. This signal can be used to identify specific 1553 commands or for switching to RTU mode upon receipt of a command to this address.
Aeroflex Circuit Technology
BIT NAME
GAP TIME
DEFINITION
Indicates the time between receipt of the previous and current words.Time is indicated in 0.5s increments for a maximum of 128 s and goes to FF over 128s. (See Word Gap bit.) Always logic 1. Logic 0 indicates RT address field of the associated command or Status Word matches the RT address field of the CT2553. Logic 0 indicates the RTU address field of the command or Status Word corresponds to address 31 (decimal). Logic 1 indicates Manchester, Parity, Sync and/or low bit counter. Logic 1 indicates 1553 Command or Status Word sync field. (Logic 0 indicates a Data Word sync field in received word.) Indicates word received on 1553 Bus A (1) or Bus B (0). Logic 1 indicates the word was received within 2s of the previous word. If logic 0, bits 8-15 contain the measured gap between the words. When logic 1, the data transferred is a mode code command.
WORD FLAG THIS RT
BROADCAST ERROR COMMAND SYNC 1553 CHANNEL A/B CONTIGUOUS DATA MODE CODE
Figure 25 - MT Identification Word
19
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
START COMMAND ISSUED
MT DATA STORAGE. Figure 26 shows the steps in a MT data Storage operation. INTERRUPTS: SA/MC - 0 (pin 13), SA/MC - 1 (pin 52) and SA/MC - 2 (on 52) represents B6, B7, and B8 counter outputs in the MT mode. B6 counts every 32 words transferred, B7 every 64 words, and B8 every 128 words. These counter outputs can be used to generate interrupts to the subsystem to insure proper servicing of Memory. The Data Word and Identification Word transfers increment the counter by two. BUILT-IN-TEST WORD (RTU MODE) The CT2553 contains a 14 bit Built-In-Test (BIT) word register which stores information about the condition of the RTU. When a Mode Command is received to transmit BIT word, the contents of this register are transmitted over the 1553 data bus. Figure 27 shows the meaning of each bit in the BIT register. Information is included regarding transmitter timeouts, loop test failures, transmitter shutdown, subsystem handshake failure, and the results of individual message validations. MODE CODES The CT2553 implements all mode codes applicable to dual redundant systems. Mode codes can also be illegalized using the appropriate I/O signals. Mode command illegalization and handling are detailed in the RTU Operation section and listed in Table 6.
GET STACK POINTER FROM WORD 100 IN RAM AND STORE IN INTERNAL REGISTER
WORD TRANSFERRED ACROSS 1553 BUS ? YES STORE RETREIVED 1553 WORD IN RAM, INCREMENTS INTERNAL ADDRESS REGISTER
NO
STORE IDENTIFICATION WORD IN RAM, INCREMENT INTERNAL ADDRESS REGISTER
Figure 26 - MT Data Storage Operation
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 00
CHAN B XMITTER TIMEOUT CHAN A XMITTER TIMEOUT CHAN B LOOP TEST FAILURE CHAN A LOOP TEST FAILURE CHAN B XMITTER SHUTDOWN CHAN A XMITTER SHUTDOWN NON-MODE BROADCAST CMD TO XMIT MESSAGE HIGH WORD COUNT MESSAGE LOW WORD COUNT ILLEGAL MODE CODE OR ILLEGAL BROADCAST WITH MODE CODE MODE CODE OR T/R ERROR CHAN A/B LOOP TEST FAILURE HANDSHAKE FAILURE CHAN A/B XMITTER TIMEOUT
Notes: (1) Bits 0-2 and 10-13 are latched and only cleared by a mode reset command or a master RESET. (2) Bits 3-7 are cleared at the start of each new message and updated at the end of the message. They reflect the present command word. (3) Bits 8-9 are set by the mode command for Transmitter Shutdown and are cleared by the mode command for Override Transmitter Shutdown, Reset RT or a master RESET.
Figure 27 - Built-In-Test Word (RTU Mode)
Aeroflex Circuit Technology
20
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
DYNAMIC BUS CONTROL (00000) MESSAGE SEQUENCE = DBC * STATUS The CT2553 responds with status. If the subsystem wants control of the bus, it must set DBACC within 2.5us after NBGRT. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error (Bit Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code, T/R Error (BIT Word). 5. Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code (BIT Word). SYNCHRONIZE WITHOUT DATA WORD (00001) MESSAGE SEQUENCE = SYNC * STATUS The CT2553 responds with status. If sent as a broadcast, the broadcast receive bit will be set and status response suppressed. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code, T/R Error (BIT Word). TRANSMIT STATUS WORD (00010) MESSAGE SEQUENCE = TRANSMIT STATUS * STATUS The status and BIT word registers are not altered by this command and contain the resulting status from the previous command. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code, T/R Error (BIT Word). 5. Broadcast Address. No status response. Bits set: message error, broadcast received (S/W), Illegal Mode code, T/R Error (BIT Word). INITIATE SELF-TEST (00011) MESSAGE SEQUENCE = SELF TEST * STATUS The CT2553 responds with a status word. If the command was broadcast, the broadcast received bit is set and status transmission suppressed. Short-loop test is initiated on the status word transmitted. If the test fails, an RT fail flag is generated. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), T/R Error (BIT Word). 5. Faulty Test. Bits set: terminal flag (SW), A/B Loop Test Fail, Current 1553 Bus (A or B) Loop Test Fail (BIT Word).
TRANSMITTER SHUTDOWN (00100) MESSAGE SEQUENCE - SHUTDOWN * STATUS This command is only used with dual redundant bus systems. The CT2553 responds with status. At the end of the status transmission, the CT2553 inhibits any further transmission from the dual redundant channel. Once shutdown, the transmitter can only be re-activated by Override Transmitter Shutdown or RESET RT commands. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code, T/R Error (BIT Word).
Table 6 - Mode Codes
Aeroflex Circuit Technology
21
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
OVERRIDE TRANSMITTER SHUTDOWN (00101) MESSAGE SEQUENCE - OVERRIDE SHUTDOWN - STATUS This command is only used with dual redundant bus systems. The CT2553 responds with status. At the end of the status transmission, the CT2553 re-enables the transmitter of the redundant bus. If the command was broadcast, the broadcast received bit is set and status transmission is suppressed. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code, T/R Error (BIT Word). INHIBIT TERMINAL FLAG BIT (00110) MESSAGE SEQUENCE - INHIBIT TERMINAL FLAG * STATUS The CT2553 responds with status and inhibits further internal or external setting of the terminal flag bit in the status register. Once the terminal flag has been inhibited, it can only be reactivated by an Override Inhibit Terminal Flag or Reset RT command. If the command was broadcast, the broadcast received bit is set and status transmission is suppressed. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), T/R Error (BIT Word).
OVERRIDE INHIBIT TERMINAL FLAG BIT (00111) MESSAGE SEQUENCE - OVERRIDE INHIBIT TERMINAL FLAG * STATUS The RTU responds with status and reactivates the terminal flag bit in the status register. If the command was broadcast, the broadcast received bit is set and status transmission is suppressed. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), T/R Error (BIT Word). RESET REMOTE TERMINAL (01000) MESSAGE SEQUENCE - RESET REMOTE TERMINAL * STATUS The CT2553 responds with status and internally resets. Transmitter shutdown, mode commands, and inhibit terminal flag commands will be reset. If the command was broadcast, the broadcast received bit is set and the status word is suppressed. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), T/R Error (BIT Word). RESERVED MODE CODES (01001-01111) MESSAGE SEQUENCE = RESERVED MODE CODES * STATUS The CT2553 responds with status. If the command is illegalized through an optional PROM, the message error bit is set and only the status word is transmitted. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), Illegal Mode Code (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code (BIT Word).
Table 6 - Mode Codes (continued)
Aeroflex Circuit Technology
22
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
TRANSMIT VECTOR WORD (10000) MESSAGE SEQUENCE - TRANSMIT VECTOR WORD * STATUS VECTOR WORD The CT2553 transmits a status word followed by a vector word. The contents of the vector word (from the subsystem) are enabled onto DBO-DB15 with BUSREQ after the command transfer (same as data word in a normal transmit command). ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW) High Word Count (BIT Word). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error, Low Word Count (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code, T/R Error, Low Word Count (BIT Word). 5. Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode code, (BIT Word).
SYNCHRONIZE WITH DATA WORD (10001) MESSAGE SEQUENCE - SYNCHRONIZE DATA WORD * STATUS The data word received following the command word is transferred to the subsystem. The status register is then enabled and its contents transferred onto the data bus and transmitted. If the command was broadcast, the broadcast received bit is set and status transmission is suppressed. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Not Followed by Data Word. No status response. Bits set: message error (SW), Low Word Count (BIT Word). 3. Command followed by too many Data Words. No status response. Bits set: message error (SW), High Word Count (BIT word). 4. Command T/R bit Set to One. No status response. Bits set: message error (SW), T/R Error, High Word Count (BIT Word). 5. Command, T/R bit Set to One and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), High Word Count, T/R Error (BIT Word).
TRANSMIT LAST COMMAND (10010) MESSAGE SEQUENCE = TRANSMIT LAST COMMAND * STATUS LAST COMMAND The status and BIT word registers are not altered by this command. The SW contains the status from the previous command. The data word transmitted contains the previous valid command (providing it was not another TRANSMIT LAST COMMAND). ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error, Low Word Count (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, (SW), Illegal Mode Code T/R Error (BIT Word). 5. Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code (BIT Word).
TRANSMIT BIT WORD (10011) MESSAGE SEQUENCE - TRANSMIT BIT WORD * STATUS BIT WORD The CT2553 transmits a status word followed by the BIT word . When activated, BITEN allows the subsystem to latch the BIT word on the parallel data bus. The BIT word is not altered by this command; however, the next SW will reflect errors in this transmission. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW). 3. T/R bit Set to Zero. No status response. Bits set: message error (SW), T/R Error, Low Word Count (BIT Word). 4. Zero T/R bit and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code, T/R Error, Low Word Count (BIT Word). 5. Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode code, (BIT Word).
Table 6 - Mode Codes (continued)
Aeroflex Circuit Technology
23
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
SELECTED TRANSMITTER SHUTDOWN (10100) MESSAGE SEQUENCE - TRANSMITTER SHUTDOWN DATA * STATUS The data word received is transferred to the subsystem and status is transmitted. If the command was broadcast, the broadcast received bit is set and status transmission suppressed. Intended for use with RTs with more than one dual redundant channel. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Not Followed by Data Word. No status response. Bits set: message error (SW), High Word Count, Illegal Mode Code (BIT Word). 3. Command Followed by too many Data Words. No status response. Bits set: message error (SW), Low Word Count, Illegal Mode Code (BIT Word). 4. Command T/R bit Set to One. No status response. Bits set: message error (SW), Illegal Mode Code, High word count (BIT Word). 5. Command T/R bit Set to One and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code, High Word Count (BIT Word).
OVERRIDE SELECTED TRANSMITTER SHUTDOWN (10101) MESSAGE SEQUENCE - TRANSMITTER SHUTDOWN DATA * STATUS The data word received after the command word is transferred to the subsystem. If the command was broadcast, the broadcast received bit is set and status transmission suppressed. ERROR CONDITIONS 1. Invalid Command. No response, command ignored. 2. Command Not Followed by Data Word. No status response. Bits set: message error (SW), Low Word Count, Illegal Mode Code (BIT Word). 3. Command Followed by too many Data Words. No status response. Bits set: message error (SW), High Word Count, Illegal Mode Code (BIT Word). 4. Command T/R bit Set to One. No status response. Bits set: message error (SW), Illegal Mode Code, High Word Count (Bit Word). 5. Command T/R bit Set to One and Broadcast Address. No status response. Bits set: message error, broadcast received (SW), Illegal Mode Code, High Word Count, T/R (BIT Word).
RESERVED MODE CODES MESSAGE SEQUENCE = RESERVED MODE CODE (T/R = 1) * STATUS RESERVED MODE CODE (T/R = 0) * STATUS The CT2553 responds with status. If the command was broadcast, the broadcast received bit is set and status transmission suppressed. ERROR CONDITIONS (T/R = 1) 1. Invalid Command. No response, command ignored. 2. Command Followed by Data Word. No status response. Bits set: message error (SW), High Word Count, Illegal Mode Code (BIT Word). ERROR CONDITIONS (T/R = 0) 1. Invalid Command. No response, command ignored. 2. Command not Followed by Contiguous Data Word. No status response. Bits set: message error (SW), High word Count, Illegal Mode Code (BIT Word). 3. Command Followed by too many Data Words. No status response. Bits set: message error (SW), High Word Count, Illegal Mode Code (BIT Word).
Table 6 - Mode Codes (continued)
Aeroflex Circuit Technology
24
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
tr 16MHz Clock (Internal) See Note 1 STRBD
SELECT td1 See Note 2 td8 READYD tpw1 td2
IOEN
tz
MEM/REG
RD/WR
A02
A01
A00 td7 SSFLAG, SSBUSY, SVCRQST DBAC, RTU/BC, MT, CTLOUT B/A D15-D00
DATA LATCHED
Configuration Register Only
DATA VALID
td9
NOTE: 1. STRBD to IOEN (low) delay is two clock cycles. If contention occurs, delay is two clock cycles following release of bus. 2. CPU must release STRBD within 1.5s of IOEN going active. READYD will go away within one clock cycle maximum.
CPU Writes to Internal Register SYMBOL
td1 td2 tpw1 td7 td8 td9 tr tz
DESCRIPTION READYD low delay (CPU Handshake) IOEN high delay (CPU Handshake) READYD pulse width (CPU Handshake) Internal Register delay (write) Register Data/Address set-up time Register Data/Address hold time READYD to STRBD release (SELECT * STRBD) to IOEN
MIN
50 -
MAX
150 20 60 30 0 1.37 1.8
UNITS
ns ns ns ns ns ns s s
Figure 28 - CPU Writes to Internal Register
Aeroflex Circuit Technology
25
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
tr 16MHz Clock (Internal) See Note 1 STRBD) SELECT td1 See Note 2 IOEN tz td8 READYD tpw1 td2
td9
MEM/REG
RD/WR
A02
A01 A00 td5 EXTLD
VALID VALID
tpw6 D15-D00
CPU DATA
NOTE: 1. STRBD to IOEN (low) delay is two clock cycles. If contention occurs, delay is two clock cycles following release of bus. 2. CPU must release STRBD within 1.5s of IOEN going active. READYD will go away within one clock cycle maximum.
CPU Writes to External Register SYMBOL
td1 td2 tpw1 td5 td8 td9 tpw6 tr tz
DESCRIPTION READYD low delay (CPU Handshake) IOEN high delay (CPU Handshake) READYD pulse width (CPU Handshake) EXTLD low delay Register Data/Address set-up time Register Data/Address set-up time EXTLD low pulse width READYD to STRBD release (SELECT * STRBD) to IOEN
MIN
50 50 56 -
MAX
150 20 30 0 1.37 1.8
UNITS
ns ns ns ns ns ns ns s s
Figure 29 - CPU Writes to External Register
Aeroflex Circuit Technology
26
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
tr 16MHz Clock (Internal) See Note 1 STRBD SELECT
IOEN
tz
See Note 2
td1 READYD tpw1
td2
MEM/REG RD/WR
MEMCS (Internal) MEMOE td4 A15-A00 D15-D00
RAM ADDRESS VALID RAM DATA VALID
NOTE: 1. STRBD to IOEN (low) delay is two clock cycles. If contention occurs, delay is two clock cycles following release of bus. 2. CPU must release STRBD within 1.5s of IOEN going active. READYD will go away within one clock cycle maximum.
CPU Reads from RAM SYMBOL
td1 td2 tpw1 td4 tr tz
DESCRIPTION READYD low delay (CPU Handshake) IOEN high delay (CPU Handshake) READYD pulse width (CPU Handshake) CPU MEMOE low delay READYD to STRBD release (SELECT * STRBD) to IOEN
MIN
50 -
MAX
150 20 100 1.37 1.8
UNITS
ns ns ns ns s s
Figure 30 - CPU Reads from RAM Timing
Aeroflex Circuit Technology
27
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
tr 16MHz Clock (Internal) See Note 1 STRBD SELECT
IOEN
tz
See Note 2 td1 tpw1
td2
READYD
MEM/REG RD/WR
MEMENA-OUT td3 MEMWR tpw2 A15-A00
RAM ADDRESS VALID RAM DATA VALID
D15-D00
NOTE: 1. STRBD to IOEN (low) delay is two clock cycles. If contention occurs, delay is two clock cycles following release of bus. 2. CPU must release STRBD within 1.5s of IOEN going active. READYD will go away within one clock cycle maximum.
CPU Writes to Ram SYMBOL
td1 td2 tpw1 td3 tpw2 tr tz
DESCRIPTION READYD low delay (CPU Handshake) IOEN high delay (CPU Handshake) READYD pulse width (CPU Handshake) CPU MEMWR low delay CPU MEMWR low pulse width READYD to STRBD release (SELECT * STRBD) to IOEN
MIN
50 70 -
MAX
150 20 120 1.37 1.8
UNITS
ns ns ns ns ns s s
Figure 31 - CPU Writes to RAM Timing
Aeroflex Circuit Technology
28
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
tr 16MHz Clock (Internal) See Note STRBD SELECT td1 IOEN tz td8 READYD tpw1 td2
MEM/REG
RD/WR
A02 (38)
A01
A00
EXTEN
D15-D00
DATA FROM EXTERNAL REGISTER
NOTE: STRBD to IOEN (low) delay is two clock cycles. If contention occurs, delay is two clock cycles following release of bus.
CPU Reads from External Register Timing SYMBOL
td1 td2 tpw1 td8 tr tz
DESCRIPTION READYD low delay (CPU Handshake) IOEN high delay (CPU Handshake) READYD pulse width (CPU Handshake) Register Data/Address set-up time READYD to STRBD release (SELECT * STRBD) to IOEN
MIN
50 -
MAX
150 20 40 1.37 1.8
UNITS
ns ns ns ns s s
Figure 32 - CPU Reads from External Register Timing
Aeroflex Circuit Technology
29
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
tr 16MHz Clock (Internal) STRBD See Note 1
SELECT td1 IOEN tz See Note 2 td6 READYD tpw1 MEM/REG td2
RD/WR
A02
A01 A00 SSFLAG, SSBUSY, SVCRQST DBAC, RTU/BC, MT, CTLIN B/A D15-D00
DATA VALID
NOTE: 1. STRBD to IOEN (low) delay is two clock cycles. If contention occurs, delay is two clock cycles following release of bus. 2. CPU must release STRBD within 1.5s of IOEN going active. READYD will go away within one clock cycle maximum.
CPU Reads from Internal Register SYMBOL
td1 td2 tpw1 td6 tr tz
DESCRIPTION READYD low delay (CPU Handshake) IOEN high delay (CPU Handshake) READYD pulse width (CPU Handshake) Internal Register delay (read) READYD to STRBD release (SELECT * STRBD) to IOEN
MIN
70 -
MAX
200 20 60 1.37 1.8
UNITS
ns ns ns ns s s
Figure 33 - CPU Reads from Internal Register Timing
Aeroflex Circuit Technology
30
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
Table 7A - CT2553 Pin Function Table (78 Pin DIP)
Pin 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 Name D00 D02 D04 D06 D08 D10 D12 D14 RTAD1 RTAD0 RTAD4 ILLCMD SA/MC-0 Logic +5V SA/MC-1 BCSTRCV LMC -15V GNDB TX/RX-B Logic GND A01 A03 A05 A07 A09 A11 A13 A15 MEMOE MEMENA-OUT IIH (A) (5) (5) (5) (5) (5) (5) (5) (5) (5) (5) (5) +10 (5) (5) (5) (5) (5) (5) (5) (5) IIL (mA) IOH (A) IOL (mA) -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 0.01 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 3.6 3.6 3.6 3.6 3.6 3.6 3.6 3.6 2.0 2.0 2.0 2.0 3.6 3.6 3.6 3.6 3.6 3.6 3.6 3.6 4.0 4.0 Description I/O Data Bus Bit 0 (LSB). I/O Data Bus Bit 2. I/O Data Bus Bit 4. I/O Data Bus Bit 6. I/O Data Bus Bit 8. I/O Data Bus Bit 10. I/O Data Bus Bit 12. I/O Data Bus Bit 14. Remote Terminal Address Bit 1. Remote Terminal Address Bit 0 (LSB) Remote Terminal Address Bit 4 (MSB) Legal Command. Defines the received command as illegal. Subaddress/Mode Command Bit 0. Multiplexed output bit-0 of subaddress/word count field of the current command word. SA/MC determined by the state of LMC. +5V supply input for digital logic section. B6 counter. Subaddress/Mode Command Bit 1. In MT mode, pulses every time 32 words have been stored. B7 counter. Broadcast Received. Indicates current command is a 1553 Broadcast Command. Latched Mode Command. Logic 1 indicates current command word is a mode code and selects MC0-MC4. Logic 0 indicates non-mode comrnand and selects SA0-SA4. -15V input power supply connection for the B channel transceiver. Ground B. Power supply return connection for the B channel transceiver. Transmit/Receive transceiver-B. Input/output to the coupling transformer that connects to the B channel of the 1553 Bus. Logic Ground. Power supply return for the digital logic section. Address Bit 1 Address Bit 3 Address Bit 5 Address Bit 7 Address Bit 9 Address Bit 11 Address Bit 13 Address Bit 15 (MSB)
-
-
Memory Output Enable. A Logic 0 used to enable data output from memory when the 1553 or CPU reads from memory. Memory Enable Out. Low level output to enable external RAM. Used with MEMOE to read data or with MEMWR to write data into
external RAM. Clock Input. 16 MHz TTL clock. Memory/Register. Input from CPU to select memory or register data transfer.
32 33 34 35 36 37 38 39 40 41 42 43 44
CLOCK IN MEM/REG STRBD EXTEN RD/WR EXTLD GNDA -15VA TX/RX-A D01 D03 D05 D07
20 (6) (6) (6) (5) (5) (5) (5)
0.02 -0.7 -0.7 -0.7 -0.4 -0.4 -0.4 -0.4
-
-
Strobe Data. Used in conjunction with SELECT to initiate a data transfer cycle to/from CPU.
External Enable. Used to load data into external devices.
-
-
Read/Write. Input from the CPU which defines the Data Bus transfer as a read or write operation.
External load. Used to load data into external devices. Ground A. Power supply return connection for the A channel transceiver. -I5V input power supply connection for the A channel transceiver.
-400 -400 -400 -400
3.6 3.6 3.6 3.6
Transmit/Receive transceiver-A. Input/Output to the coupling transformer that connects to the A channel of the 1553 Bus.
I/O Data Bus Bit 1. I/O Data Bus Bit 3. I/O Data Bus Bit 5 I/O Data Bus Bit 7.
Aeroflex Circuit Technology
31
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
Table 7A - CT2553 Pin Function Table (78 Pin DIP) (continued)
Pin 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 1. 2. 3. 4. 5. 6. 7. Name D09 D11 D13 D15 RTAD3 RTAD2 RTADP SA/MC-2 SA/MC-4 SA/MC-3 THIS-RT RTPARERR T/R +5VB TX/RX-B A00 A02 A04 A06 A08 A10 A12 A14 MEMWR MEMENA-IN INCMD MSTRCLR INT IOEN SELECT READYD TAGEN +5VA TX/RX-A IIH (A) (5) (5) (5) (5) (5) (5) (5) (5) (5) (5) (5) (5) (5) (5) (5) 20 (6) (6) IIL (mA) IOH (A) IOL (mA) -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 -0.4 0.02 -0.7 -0.7 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 -400 3.6 3.6 3.6 3.6 2.0 2.0 2.0 2.0 2.0 2.0 3.6 3.6 3.6 3.6 3.6 3.6 3.6 3.6 4.0 2.0 4.0 4.0 4.0 4.0 Description I/O Data Bus Bit 9. I/O Data Bus Bit 11. I/O Data Bus Bit 13. I/O Data Bus Bit 15 (MSB). Remote Terminal Address Bit 3. Remote Terminal Address Bit 2. Remote Terminal Address Parity input. Subaddress/Mode Command Bit 2. B8 (MSB) counter. Subaddress/Mode Command Bit 4. Subaddress/Mode Command Bit 3. Logic 0 pulse indicates receipt of a valid command word which contains the Remote Terminal address equivalent to the RTADO-RTAD4 inputs. RTU (address) Parity Error. Logic 0 indicates RTU address parity (odd parity: RTADO-RTAD4, RTADP) has been violated. Transmit/Receive 1553 data. Latched T/R bit from current command word. +5V power supply connection for the B channel transceiver. Transmit/Receive transceiver-B. Inverted I/O to coupling transformer that connects to channel B of the 1553 Bus. Address Bit 0 (LSB). Address Bit 2. Address Bit 4. Address Bit 6. Address Bit 8. Address Bit 10. Address Bit 12. Address Bit 14. Memory Write. Output pulse to write data into memory. Memory Enable In. Enables internal RAM only; connect directly to MEMENA-OUT. In Command. Indicates BC or RTU currently in message transfer sequence. Master Clear. Power-on reset from CPU. Interrupt. Interrupt pulse line to CPU. Input/Output Enable. Output to enable external hybrid to the address/data bus. Select. Input from the CPU. When active, selects CT2553 for operation. Ready Data. When active indicates data has been received from, or is available to, the CPU. Tag Enable. Enables an external time to counter for transferring the time tag word into memory. +5V input/power supply for channel A transceiver.
Transmit/Receive transceiver-A. Inverted I/O to the coupling transformer that connects to the A channel of the 1553 Bus.
IIH is specified at: VCC = 5.5V, VIH = 2.7V. IIL is specified at: VCC = 5.5V, VIL = 0.4V. IOH is specified at: V CC = 4.5V, VIH = 2.4V. IOL is specified at: VCC = 4.5V, VIH = 0.4V. Internal Pull-up Resistor = 30K Ohms, typ. Internal Pull-up Resistor = 16K Ohms, typ. Pin 13 = B6, Pin 15 = B7 and Pin 52 = B8 (MSB). B6, B7 and B8 are the MSB lines of an 8 BIT Counter used in the BC and MT mode to count 32 WORD TRANSFERS to memory (16 words received off the bus) for a total of 128 DATA and Tag words (in MT mode). (See pages 19 & 20 for discussion.)
Aeroflex Circuit Technology
32
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
Table 7B - CT2553 Pin Out Description (DIP)
Pin #
1 2 3
Function
D00 D02 D04 D06 D08 D10 D12 D14
RTAD1 RTAD0 RTAD4 ILLCMD SA/MC-0 LOGIC +5V SA/MC-1 BCSTRCV LMC
Pin #
40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78
Function
TX/RX-A
D01 D03 D05 D07 D09 D11 D13 D15
RTAD3 RTAD2 RTADP SA/MC-2 SA/MC-4 SA/MC-3 THIS-RT RTPARERR T/R
1 41 2 42 3 43 4 44 5 45 6 46 7 47 8 48 9 49 10 50 11 51 12 52 13 53 14 54 15 55 16 56 17 57 18 58 19 59 20
D00 D01 D02 D03 D04 D05 D06 D07 D08 D09 D10 D11 D12 D13 D14 D15
LOGIC GND A00 A01 A02 MIL-STD-1553 A03 BUS Controller, A04 Remote Terminal and A05 A06 BUS Monitor A07 A08 A09 A10 A11 A12 A13 A14 RTAD1 A15 RTAD3 MEMWR RTAD0 MEMOE RTAD2 MEMENA-IN RTAD4 MEMENA-OUT RTADP INCMD ILLCMD CLOCK IN SA/MC-2 MSTRCLR SA/MC-0 MEM/REG SA/MC-4 INT LOGIC +5V STRBD SA/MC-3 IOEN SA/MC-1 EXTEN THIS-RT SELECT BCSTRCV RD/WR RTPARERR READYD LMC EXTLD T/R TAGEN -15VB GNDA +5VB +5VA GNDB -15VA TX/RX-B TX/RX-A TX/RX-B TX/RX-A
CT2553
21 60 22 61 23 62 24 63 25 64 26 65 27 66 28 67 29 68 30 69 31 70 32 71 33 72 34 73 35 74 36 75 37 76 38 77 39 78 40
4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39
-15VB
GNDB TX/RX-B LOGIC GND A01 A03 A05 A07 A09 A11 A13 A15 MEMOE MEMENA-OUT CLOCK IN MEM/REG STRBD EXTEN RD/WR EXTLD GNDA -15VA
+5VB
TX/RX-B A00 A02 A04 A06 A08 A10 A12 A14 MEMWR MEMENA-IN INCMD MSTRCLR INT IOEN SELECT READYD TAGEN +5VA TX/RX-A
DIP Pin Connection Diagram, CT2553 and Pinout
Aeroflex Circuit Technology
33
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
Table 8 - CT2566 Pin Out Description (FP)
Pin #
1 2 3
Function
N/C
Pin #
42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82
Function
N/C TX/RX-A TX/RX-A
D00 D01 D02 D03 D04 D05 D06 D07 D08 D09 D10 D11 D12 D13 D14 D15
RTAD1 RTAD3 RTAD0 RTAD2 RTAD4 RTADP ILLCMD SA/MC-2 SA/MC-0 SA/MC-4 LOGIC +5V SA/MC-3 SA/MC-1 THIS-RT BCSTRCV RTPARERR LMC T/R
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41
N/C D00 D01 D02 D03 D04 D05 D06 D07 D08 D09 D10 D11 D12 D13 D14 D15
N/C
LOGIC GND A00 A01 MIL-STD-1553 A02 A03 BUS Controller, A04 Remote Terminal and A05 BUS Monitor A06 A07 A08 A09 A10 A11 A12 A13 A14 A15 RTAD1 MEMWR RTAD3 MEMOE RTAD0 MEMENA-IN RTAD2 MEMENA-OUT RTAD4 INCMD RTADP CLOCK IN ILLCMD MSTRCLR SA/MC-2 MEM/REG SA/MC-0 INT SA/MC-4 STRBD LOGIC +5V IOEN SA/MC-3 EXTEN SA/MC-1 SELECT THIS-RT RD/WR BCSTRCV READYD RTPARERR EXTLD LMC TAGEN T/R GNDA -15VB
CT2553FP
+5VB
GNDB TX/RX-B TX/RX-B N/C
+5VA -15VA
TX/RX-A TX/RX-A
N/C
82 81 80 79 78 77 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42
4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41
-15VA +5VA
GNDA TAGEN EXTLD READYD RD/WR SELECT EXTEN IOEN STRBD INT MEM/REG MSTRCLR CLOCK IN INCMD MEMENA-OUT MEMENA-IN MEMOE MEMWR A15 A14 A13 A12 A11 A10 A09 A08 A07 A06 A05 A04 A03 A02 A01 A00 LOGIC GND N/C
-15VB +5VB
GNDB TX/RX-B TX/RX-B N/C
Flat Package Pin Connection Diagram, CT2553 and Pinout
Aeroflex Circuit Technology
34
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
2.100
1.870 Lead 1 & ESD Designator
.100 .110
Pin 1 Pin 2
1.900
.050 Pin 19 TYP Pin 20 Pin 59 Pin 41 .018 DIA TYP
.250 MAX
1.650 1.500
Pin 60 Pin 78 Pin 21 Pin 22 .100 TYP Pin 39 Pin 40
.250
1.800
Figure 23 - Plug In Package Outline
2.200 MAX .010 .002
Pin 42
.050 Pin 82
.015
.180 MAX
1.610 MAX Lead 1 & ESD Designator .400 MIN .095 (4 Places) 2.000 .050 Lead Centers 41 Leads/Side
Pin 41
.080
Figure 24 - Flat Package Outline
Aeroflex Circuit Technology
35
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700
CIRCUIT TECHNOLOGY
Ordering Information
Model Number CT2553 CT2553-FP CT2554 CT2554-FP * CT2555 * CT2555-FP ** CT2556 ** CT2556-FP * Contact Factory ** Transceiverless - Contact Factory +5V only Screening Military Temperature, -55C to +125C, Screened to the Individual Test Methods of MIL-STD-883 Power Supply +5V, -15V Package Plug in Flat Package +5V, -12V Plug in Flat Package Plug in Flat Package Plug in Flat Package
Specifications subject to change without notice
Aeroflex Circuit Technology 35 South Service Road Plainview New York 11803 www.aeroflex.com/act1.htm
Aeroflex Circuit Technology
Telephone: (516) 694-6700 FAX: (516) 694-6715 Toll Free Inquiries: (800) THE-1553 E-Mail: sales-act@aeroflex.com
36
SCDCT2553 REV B 8/6/99 Plainview NY (516) 694-6700


▲Up To Search▲   

 
Price & Availability of CT2553

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X